O2-256 | XO2-640 | XO2-640U | XO2-1200 | XO2-1200U | XO2-2000 | XO2-2000U | XO2-4000 | XO2-7000 | |
---|---|---|---|---|---|---|---|---|---|
查找表(LUTs)的密度 | 256 | 640 | 640 | 1280 | 1280 | 2112 | 2112 | 4320 | 6864 |
EBR RAM區塊(9 Kbits/block) | 0 | 2 | 7 | 7 | 8 | 8 | 10 | 10 | 26 |
EBR SRAM(Kbits) | 0 | 18 | 64 | 64 | 74 | 74 | 92 | 92 | 240 |
Dist. SRAM (Kbits) | 2 | 5 | 5 | 10 | 10 | 16 | 16 | 34 | 54 |
用戶Flash儲存(Kbits) | 0 | 24 | 64 | 64 | 80 | 80 | 96 | 96 | 256 |
PLL + DLL | 0 | 0 | 1 + 2 | 1 + 2 | 1 + 2 | 1 + 2 | 2 + 2 | 2 + 2 | 2 + 2 |
支援DDR/DDR2/LPDDR記憶器 | - | - | Yes | Yes | Yes | Yes | Yes | Yes | Yes |
配置記憶體 | 內部Flash | ||||||||
雙啟動 | Yes | Yes | Yes | Yes | Yes | Yes | Yes | Yes | Yes |
內嵌功能區塊 | I2C (2), SPI (1), Timer (1) | ||||||||
內核電壓Vcc 1.2 V | ZE | ZE | - | Z | - | ZE & HE | HE | ZE & HE | ZE & HE |
內核電壓Vcc 2.5 - 3.3 V | HC | HC | HC | HC | HC | HC | HC | HC | HC |
module LED_shining ( input clk , //clk = 12mhz input rst_n , //rst_n, active low output led1 , //led1 output output led2 //led2 output ) ; parameter CNT_1S = 12 _000_000 - 1 ; //time 1S parameter CNT_05S = CNT_1S >> 1 ; //time 0.5S reg [ 23 : 0 ] cnt ; always @ ( posedge clk or negedge rst_n ) begin if ( ! rst_n ) cnt <= 1'b0 ; else if ( cnt >= CNT_1S ) cnt <= 1'b0 ; else if ( cnt >= CNT_1S ) cnt <= 1'b0 ; + 1'b1 ; end wire clk_div = ( cnt > CNT_05S ) ? 1'b1 : 1'b0 ; assign led1 = clk_div ; assign led2 = ~ clk_div ; endmodule
`timescale 1ns / 100ps module LED_shining_tb ; parameter CLK_PERIOD = 10 ; reg clk ; initial clk = 1'b0 ; always # ( CLK_PERIOD / 2 ) clk = ~ clk ; reg rst_n ; //active low initial begin rst_n = 1'b0 ; # 20 ; rst_n = 1'b1 ; end wire led1 , led2 ; LED_shining # ( .CNT_1S ( 19 ) ) u_LED_shining ( .clk ( clk ) , .rst_n ( rst_n ) , .led1 ( led1 ) , .led2 ( led2 ) ) ; endmodule